Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
Calculated based on number of publications stored in Pure and citations from Scopus
1996 …2025

Research activity per year

Filter
Conference contribution

Search results

  • 2010

    iRetILP: An efficient incremental algorithm for min-period retiming under general delay model

    Das, D., Wang, J. & Zhou, H., 2010, 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. p. 61-67 7 p. 5419917. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • Sequential Pricing on Social Networks

    Ha, B. & Zhou, H., 2010, Conference of Chinese Game Theory and Experimental Economics Association.

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2009

    Binning optimization based on SSTA for transparently-latched circuits

    Gong, M., Zhou, H., Tao, J. & Zeng, X., 2009, Proceedings of the 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, ICCAD 2009. Institute of Electrical and Electronics Engineers Inc., p. 328-335 8 p. 5361271. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • Complete-k-distinguishability for retiming and resynthesis equivalence checking without restricting synthesis

    Liveris, N., Zhou, H. & Banerjee, P., Apr 20 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. p. 636-641 6 p. 4796552. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Exception triggered DoS attacks on wireless networks

    Zhao, Y., Vemuri, S., Chen, J., Chen, Y., Zhou, H. & Fu, Z., 2009, Proceedings of the 2009 IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2009. p. 13-22 10 p. 5270358. (Proceedings of the International Conference on Dependable Systems and Networks).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    7 Scopus citations
  • Exploring adjacency in floorplanning

    Wang, J. & Zhou, H., 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. p. 367-372 6 p. 4796508. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    4 Scopus citations
  • Multicore parallel min-cost flow algorithm for CAD applications

    Lu, Y., Zhou, H., Shang, L. & Zeng, X., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. Institute of Electrical and Electronics Engineers Inc., p. 832-837 6 p. 5227041. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    9 Scopus citations
  • Provably good and practically efficient algorithms for CMP dummy fill

    Chunyang, F., Hai, Z., Changhao, Y., Jun, T. & Xuan, Z., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. p. 539-544 6 p. 5227058. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • Retiming and resynthesis with sweep are complete for sequential transformation

    Zhou, H., Dec 7 2009, 9th International Conference Formal Methods in Computer Aided Design, FMCAD 2009. p. 192-197 6 p. 5351122. (9th International Conference Formal Methods in Computer Aided Design, FMCAD 2009).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • Risk Aversion Min-Period Retiming under Process Variations

    Wang, J. & Zhou, H., 2009, ACM Asia South-Pacific Design Automation Conference.

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Statistical reliability analysis under process variation and aging effects

    Yinghai, L., Li, S., Hai, Z., Hengliang, Z., Fan, Y. & Xuan, Z., 2009, 2009 46th ACM/IEEE Design Automation Conference, DAC 2009. p. 514-519 6 p. 5227051. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    83 Scopus citations
  • 2008

    A dynamic-programming algorithm for reducing the energy consumption of pipelined System-Level streaming applications

    Liveris, N., Zhou, H. & Banerjee, P., 2008, 2008 Asia and South Pacific Design Automation Conference, ASP-DAC. p. 42-48 7 p. 4483989. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    4 Scopus citations
  • An efficient incremental algorithm for min-area retiming

    Wang, J. & Zhou, H., 2008, Proceedings of the 45th Design Automation Conference, DAC. p. 528-533 6 p. 4555873. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    15 Scopus citations
  • An O(nlogn) edge-based algorithm for obstacle-avoiding rectilinear Steiner tree construction

    Long, J., Zhou, H. & Memik, S. O., 2008, ISPD'08 - Proceedings of the 2008 ACM International Symposium on Physical Design. p. 126-133 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    16 Scopus citations
  • Linear constraint graph for floorplan optimization with soft blocks

    Wang, J. & Zhou, H., 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design Digest of Technical Papers, ICCAD 2008. p. 9-15 7 p. 4681545. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    6 Scopus citations
  • Pessimism reduction in coupling-aware static timing analysis using timing and logic filtering

    Das, D., Killpack, K., Kashyap, C., Jas, A. & Zhou, H., 2008, 2008 Asia and South Pacific Design Automation Conference, ASP-DAC. p. 486-491 6 p. 4483999. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    2 Scopus citations
  • State space abstraction for parameterized self-stabilizing embedded systems

    Liveris, N., Zhou, H., Dick, R. P. & Banerjee, P., 2008, Proceedings of the 8th ACM International Conference on Embedded Software, EMSOFT'08. Association for Computing Machinery (ACM), p. 11-20 10 p. (Proceedings of the 8th ACM International Conference on Embedded Software, EMSOFT'08).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • 2007

    3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits

    Zhou, P., Ma, Y., Li, Z. Y., Dick, R. P., Shang, L., Zhou, H., Hong, X. & Zhou, Q., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 590-597 8 p. 4397329. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    107 Scopus citations
  • Address generation for nanowire decoders

    Wang, J., Kao, M.-Y. & Zhou, H., 2007, GLSVLSI'07: Proceedings of the 2007 ACM Great Lakes Symposium on VLSI. p. 525-528 4 p. 1228909. (Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations
  • Clock skew scheduling with delay padding for prescribed skew domains

    Lin, C. & Zhou, H., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 541-546 6 p. 4196088. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    34 Scopus citations
  • Design closure driven delay relaxation based on convex cost network flow

    Lin, C., Xie, A. & Zhou, H., 2007, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007. p. 63-68 6 p. 4211773. (Proceedings -Design, Automation and Test in Europe, DATE).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations
  • Fast buffer insertion for yield optimization under process variations

    Chen, R. & Zhou, H., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 19-24 6 p. 4195990. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    4 Scopus citations
  • Fast min-cost buffer insertion under process variations

    Chen, R. & Zhou, H., 2007, 2007 44th ACM/IEEE Design Automation Conference, DAC'07. p. 338-343 6 p. 4261202. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    5 Scopus citations
  • Gate sizing by Lagrangian relaxation revisited

    Wang, J., Das, D. & Zhou, H., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 111-118 8 p. 4397252. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    14 Scopus citations
  • Impact of modern process technologies on the electrical parameters of interconnects

    Sinha, D., Luo, J., Rajagopalan, S., Batterywala, S., Shenoy, N. V. & Zhou, H., Dec 1 2007, Proceedings - 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems. p. 875-880 6 p. 4092151

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    9 Scopus citations
  • New block-based statistical timing analysis approaches without moment matching

    Chen, R. & Zhou, H., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 462-467 6 p. 4196075. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    1 Scopus citations
  • NostraXtalk: A predictive framework for accurate static timing analysis in udsm vlsi circuits

    Das, D., Shebaita, A., Ismail, Y., Zhou, H. & Killpack, K., 2007, GLSVLSI'07: Proceedings of the 2007 ACM Great Lakes Symposium on VLSI. p. 25-30 6 p. 1228797. (Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Retiming for synchronous data flow graphs

    Liveris, N., Lin, C., Wang, J., Zhou, H. & Banerjee, P., 2007, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 480-485 6 p. 4196078. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    14 Scopus citations
  • Timing budgeting under arbitrary process variations

    Chen, R. & Zhou, H., 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD. p. 344-349 6 p. 4397288. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
  • 2006

    Advances in computation of the maximum of a set of random variables

    Sinha, D., Zhou, H. & Shenoy, N. V., Dec 1 2006, Proceedings - 7th International Symposium on Quality Electronic Design, ISQED 2006. p. 306-311 6 p. 1613154. (Proceedings - International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    16 Scopus citations
  • An efficient retiming algorithm under setup and hold constraints

    Lin, C. & Zhou, H., 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. Institute of Electrical and Electronics Engineers Inc., p. 945-950 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    13 Scopus citations
  • A revisit to floorplan optimization by Lagrangian relaxation

    Lin, C., Zhou, H. & Chu, C., 2006, Proceedings of the 2006 International Conference on Computer-Aided Design, ICCAD. p. 164-171 8 p. 4110169. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    19 Scopus citations
  • A timing dependent power estimation framework considering coupling

    Sinha, D., Khalil, D. E., Ismail, Y. & Zhou, H., 2006, Proceedings of the 2006 International Conference on Computer-Aided Design, ICCAD. p. 401-407 7 p. 4110205. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • Automatic vulnerability checking of IEEE 802.16 WiMAX protocols through TLA+

    Narayana, P., Chen, R., Zhao, Y., Chen, Y., Fu, Z. & Zhou, H., 2006, 2nd Workshop on Secure Network Protocols, NPSec. p. 44-49 6 p. 4110436. (2nd Workshop on Secure Network Protocols, NPSec).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    17 Scopus citations
  • Optimal jumper insertion for antenna avoidance under ratio upper-bound

    Wang, J. & Zhou, H., 2006, 2006 43rd ACM/IEEE Design Automation Conference, DAC'06. Institute of Electrical and Electronics Engineers Inc., p. 761-766 6 p. (Proceedings - Design Automation Conference).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    4 Scopus citations
  • Processing rate optimization by sequential system floorplanning

    Wang, J., Wu, P. C. & Zhou, H., 2006, Proceedings - 7th International Symposium on Quality Electronic Design, ISQED 2006. p. 340-345 6 p. 1613160. (Proceedings - International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    4 Scopus citations
  • Smart bit-width allocation for low power optimization in a systemC based ASIC design environment

    Mallik, A., Sinha, D., Banerjee, P. & Zhou, H., 2006, Proceedings - Design, Automation and Test in Europe, DATE'06. Institute of Electrical and Electronics Engineers Inc., 1656961. (Proceedings -Design, Automation and Test in Europe, DATE; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    3 Scopus citations
  • Yield-aware cache architectures

    Ozdemir, S., Sinha, D., Memik, G., Adams, J. & Zhou, H., Dec 1 2006, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39. p. 15-25 11 p. 4041832

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    80 Scopus citations
  • 2005

    An efficient system-level to RTL verification framework for computation-intensive applications

    Liveris, N. D., Hai, Z. & Banerjee, P., 2005, Proceedings - 14th Asian Test Symposium, ATS 2005. p. 28-33 6 p. 1575402. (Proceedings of the Asian Test Symposium; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    3 Scopus citations
  • A unified framework for statistical timing analysis with coupling and multiple input switching

    Sinha, D. & Zhou, H., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. Institute of Electrical and Electronics Engineers Inc., p. 837-843 7 p. 1560179. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    24 Scopus citations
  • Clustering for processing rate optimization

    Lin, C., Wang, J. & Zhou, H., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. p. 189-195 7 p. 1560062. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

  • Deriving a new efficient algorithm for min-period retiming

    Zhou, H., Dec 1 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. Vol. 2. p. 990-993 4 p. 1466506

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    16 Scopus citations
  • Efficient algorithms for buffer insertion in general circuits based on network flow

    Chen, R. & Zhou, H., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. p. 322-326 5 p. 1560087. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    12 Scopus citations
  • Interconnect estimation without packing via ACG floorplans

    Wang, J. & Zhou, H., 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. Institute of Electrical and Electronics Engineers Inc., p. 1152-1155 4 p. 1466545. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    4 Scopus citations
  • Statistical gate sizing for timing yield optimization

    Sinha, D., Shenoy, N. V. & Zhou, H., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. p. 1034-1038 5 p. 1560214. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    54 Scopus citations
  • Trade-off between latch and flop for min-period sequential circuit designs with crosstalk

    Lin, C. & Zhou, H., 2005, Proceedings of theICCAD-2005: International Conference on Computer-Aided Design. p. 329-334 6 p. 1560089. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    2 Scopus citations
  • Yield driven gate sizing for coupling-noise reduction under uncertainty

    Sinha, D. & Zhou, H., 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. Institute of Electrical and Electronics Engineers Inc., p. 192-197 6 p. 1466156. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 1).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    4 Scopus citations
  • 2004

    ACG-Adjacent Constraint Graph for general floorplans

    Zhou, H. & Wang, J., 2004, Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2004. p. 572-575 4 p. (Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    Open Access
    36 Scopus citations
  • Clock schedule verification under process variations

    Chen, R. & Zhou, H., 2004, ICCAD-2004 - IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers. p. 619-625 7 p. 8A.2. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    6 Scopus citations
  • Minimal period retiming under process variations

    Wang, J. & Zhou, H., 2004, Proceedings of the 2004 ACM Great Lakes Symposium on VLSI, GLSVLSI 2004: VLSI in the Nanometer Era. Association for Computing Machinery, p. 131-135 5 p. (Proceedings of the ACM Great Lakes Symposium on VLSI).

    Research output: Chapter in Book/Report/Conference proceedingConference contribution

    1 Scopus citations